Get Latest ECE/EEE Mini Projects in your Email

Your Email ID:
Mini.in Subs

Optimization of Power Consumption in VLSI Circuit

Download Project:

Fields with * are mandatory

Space, power consumption and speed are major design issues in VLSI circuit. The design component has conflicting affect on overall performance of circuits. An optimization of power dissipation can be achieved by compromising various components.

Power consumption in VLSI circuit (like in multipliers) is also data dependent. In this paper attempt has been made to test different design methods and propose a modular approach for optimizing power consumption. It is found that algorithm based design reduce gate  switching activity considerably and as result power consumption in multiplier is reduced.
Source: IJCSI
Authors: Zamin Ali Khana ,S. M. Aqil Burneyb, Jawed Naseemc, Kashif Rizwand

Download Project

>> 100+ Easy Electronics Projects for Engineering Students

Download Project:

Fields with * are mandatory